summaryrefslogtreecommitdiffstats
path: root/qemu/roms/ipxe/src/util/catrom.pl
diff options
context:
space:
mode:
Diffstat (limited to 'qemu/roms/ipxe/src/util/catrom.pl')
-rwxr-xr-xqemu/roms/ipxe/src/util/catrom.pl29
1 files changed, 0 insertions, 29 deletions
diff --git a/qemu/roms/ipxe/src/util/catrom.pl b/qemu/roms/ipxe/src/util/catrom.pl
deleted file mode 100755
index da99d7b97..000000000
--- a/qemu/roms/ipxe/src/util/catrom.pl
+++ /dev/null
@@ -1,29 +0,0 @@
-#!/usr/bin/perl -w
-
-use warnings;
-use strict;
-
-use FindBin;
-use lib "$FindBin::Bin";
-use Option::ROM qw ( :all );
-
-my @romfiles = @ARGV
- or die "Usage: $0 rom-file-1 rom-file-2 ... > multi-rom-file\n";
-
-while ( my $romfile = shift @romfiles ) {
-
- # Read ROM file
- my $rom = new Option::ROM;
- $rom->load ( $romfile );
-
- # Tag final image as non-final in all except the final ROM
- if ( @romfiles ) {
- my $image = $rom;
- $image = $image->next_image() while $image->next_image();
- $image->pci_header->{last_image} &= ~PCI_LAST_IMAGE;
- $image->fix_checksum();
- }
-
- # Write ROM file to STDOUT
- $rom->save ( "-" );
-}