aboutsummaryrefslogtreecommitdiffstats
path: root/src/collectd/collectd_sample_configs-latest
diff options
context:
space:
mode:
authorEmma Foley <efoley@redhat.com>2020-11-17 13:58:22 +0000
committerEmma Foley <efoley@redhat.com>2020-11-19 13:41:50 +0000
commit5560c122f820a8a5ecabc4c24a6e9fd444163910 (patch)
treeb40a3c4d4b87dfae03e7f9f34560015dd8676a64 /src/collectd/collectd_sample_configs-latest
parent2847e052aef84d97b674aee3a655c55091844925 (diff)
[container][latest] Rename and update barometer-collectd-latest
Collectd changed the upstream default to main, and Barometer added a few changes to incorporate this. One change was renaming the `barometer-collectd-master` container to `barometer-collectd-latest`, since `barometer-collectd-main` didn't make sense semantically, as we use the stable container as the "main" reference. At the time, we didn't have the changes made in releng to build the new container, so container renaming was paused. Now that the change is merged in releng (and has been for a while), and the containers are being updated, this change should happen, so that the container gets published. Signed-off-by: Emma Foley <efoley@redhat.com> Change-Id: I4ee91c289b9e7477157bea148452be58343dc733
Diffstat (limited to 'src/collectd/collectd_sample_configs-latest')
-rw-r--r--src/collectd/collectd_sample_configs-latest/csv.conf19
-rw-r--r--src/collectd/collectd_sample_configs-latest/default_plugins.conf31
-rw-r--r--src/collectd/collectd_sample_configs-latest/dpdkevents.conf35
-rw-r--r--src/collectd/collectd_sample_configs-latest/dpdkstat.conf23
-rw-r--r--src/collectd/collectd_sample_configs-latest/exec.conf20
-rw-r--r--src/collectd/collectd_sample_configs-latest/hugepages.conf22
-rw-r--r--src/collectd/collectd_sample_configs-latest/intel_pmu.conf23
-rw-r--r--src/collectd/collectd_sample_configs-latest/kafka.conf21
-rw-r--r--src/collectd/collectd_sample_configs-latest/mcelog.conf24
-rw-r--r--src/collectd/collectd_sample_configs-latest/network.conf18
-rw-r--r--src/collectd/collectd_sample_configs-latest/ovs_events.conf24
-rwxr-xr-xsrc/collectd/collectd_sample_configs-latest/ovs_pmd_stats.sh16
-rw-r--r--src/collectd/collectd_sample_configs-latest/ovs_stats.conf23
-rw-r--r--src/collectd/collectd_sample_configs-latest/pcie_errors.conf21
-rw-r--r--src/collectd/collectd_sample_configs-latest/prometheus.conf19
-rw-r--r--src/collectd/collectd_sample_configs-latest/rdt.conf20
-rw-r--r--src/collectd/collectd_sample_configs-latest/snmp_agent.conf785
-rw-r--r--src/collectd/collectd_sample_configs-latest/virt.conf30
-rwxr-xr-xsrc/collectd/collectd_sample_configs-latest/write_notification.sh20
19 files changed, 1194 insertions, 0 deletions
diff --git a/src/collectd/collectd_sample_configs-latest/csv.conf b/src/collectd/collectd_sample_configs-latest/csv.conf
new file mode 100644
index 00000000..99a8498d
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/csv.conf
@@ -0,0 +1,19 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+LoadPlugin csv
+<Plugin csv>
+ DataDir "/tmp/collectd/csv"
+ StoreRates false
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/default_plugins.conf b/src/collectd/collectd_sample_configs-latest/default_plugins.conf
new file mode 100644
index 00000000..c96b0076
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/default_plugins.conf
@@ -0,0 +1,31 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#Hostname ""
+
+LoadPlugin cpufreq
+LoadPlugin disk
+LoadPlugin ethstat
+LoadPlugin ipc
+LoadPlugin ipmi
+LoadPlugin load
+LoadPlugin memory
+LoadPlugin numa
+LoadPlugin processes
+LoadPlugin df
+LoadPlugin turbostat
+LoadPlugin uptime
+LoadPlugin contextswitch
+LoadPlugin irq
+LoadPlugin df
+LoadPlugin swap
diff --git a/src/collectd/collectd_sample_configs-latest/dpdkevents.conf b/src/collectd/collectd_sample_configs-latest/dpdkevents.conf
new file mode 100644
index 00000000..fdb6f3db
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/dpdkevents.conf
@@ -0,0 +1,35 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+<LoadPlugin dpdkevents>
+ Interval 1
+</LoadPlugin>
+
+<Plugin "dpdkevents">
+ <EAL>
+# Coremask "0x1"
+# MemoryChannels "4"
+# FilePrefix "rte"
+ </EAL>
+ <Event "link_status">
+ SendEventsOnUpdate false
+ EnabledPortMask 0xffff
+ SendNotification true
+ </Event>
+ <Event "keep_alive">
+ SendEventsOnUpdate false
+ LCoreMask "0xf"
+ KeepAliveShmName "/dpdk_keepalive_shm_name"
+ SendNotification true
+ </Event>
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/dpdkstat.conf b/src/collectd/collectd_sample_configs-latest/dpdkstat.conf
new file mode 100644
index 00000000..59906d4e
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/dpdkstat.conf
@@ -0,0 +1,23 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin dpdkstat
+
+<Plugin dpdkstat>
+# Coremask "0xf"
+# ProcessType "secondary"
+# FilePrefix "rte"
+ EnabledPortMask 0xffff
+# PortName "interface1"
+# PortName "interface2"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/exec.conf b/src/collectd/collectd_sample_configs-latest/exec.conf
new file mode 100644
index 00000000..0a291bdb
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/exec.conf
@@ -0,0 +1,20 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin exec
+
+<Plugin exec>
+ # For OVS PMD stats plugin
+ Exec "collectd_exec" "/opt/collectd/etc/collectd.conf.d/ovs_pmd_stats.sh"
+ NotificationExec "collectd_exec" "/opt/collectd/etc/collectd.conf.d/write_notification.sh"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/hugepages.conf b/src/collectd/collectd_sample_configs-latest/hugepages.conf
new file mode 100644
index 00000000..97cd2d17
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/hugepages.conf
@@ -0,0 +1,22 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin hugepages
+
+<Plugin hugepages>
+ ReportPerNodeHP true
+ ReportRootHP true
+ ValuesPages true
+ ValuesBytes false
+ ValuesPercentage false
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/intel_pmu.conf b/src/collectd/collectd_sample_configs-latest/intel_pmu.conf
new file mode 100644
index 00000000..959fb8a1
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/intel_pmu.conf
@@ -0,0 +1,23 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin intel_pmu
+
+<Plugin intel_pmu>
+ ReportHardwareCacheEvents true
+ ReportKernelPMUEvents true
+ ReportSoftwareEvents true
+# EventList "/var/cache/pmu/GenuineIntel-6-2D-core.json"
+# HardwareEvents "L2_RQSTS.CODE_RD_HIT,L2_RQSTS.CODE_RD_MISS" "L2_RQSTS.ALL_CODE_RD"
+ Cores "[0-4]"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/kafka.conf b/src/collectd/collectd_sample_configs-latest/kafka.conf
new file mode 100644
index 00000000..f81e87fd
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/kafka.conf
@@ -0,0 +1,21 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin write_kafka
+
+<Plugin write_kafka>
+ Property "metadata.broker.list" "localhost:9092"
+ <Topic "collectd">
+ Format JSON
+ </Topic>
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/mcelog.conf b/src/collectd/collectd_sample_configs-latest/mcelog.conf
new file mode 100644
index 00000000..633a3bcd
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/mcelog.conf
@@ -0,0 +1,24 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+<LoadPlugin mcelog>
+ Interval 1
+</LoadPlugin>
+
+<Plugin mcelog>
+ <Memory>
+ McelogClientSocket "/var/run/mcelog-client"
+ PersistentNotification false
+ </Memory>
+## McelogLogfile "/var/log/mcelog"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/network.conf b/src/collectd/collectd_sample_configs-latest/network.conf
new file mode 100644
index 00000000..4309ed86
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/network.conf
@@ -0,0 +1,18 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+LoadPlugin network
+<Plugin network>
+ Server "127.0.0.1" "25826"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/ovs_events.conf b/src/collectd/collectd_sample_configs-latest/ovs_events.conf
new file mode 100644
index 00000000..250e1e43
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/ovs_events.conf
@@ -0,0 +1,24 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+<LoadPlugin ovs_events>
+ Interval 1
+</LoadPlugin>
+
+<Plugin ovs_events>
+# Port "6640"
+# Address "127.0.0.1"
+# Socket "/var/run/openvswitch/db.sock"
+# Interfaces "br0" "veth0"
+ SendNotification true
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/ovs_pmd_stats.sh b/src/collectd/collectd_sample_configs-latest/ovs_pmd_stats.sh
new file mode 100755
index 00000000..78e72047
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/ovs_pmd_stats.sh
@@ -0,0 +1,16 @@
+#!/bin/bash
+# Copyright 2017-2019 Intel Corporation and OPNFV. All rights reserved.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+sudo python /usr/local/src/ovs_pmd_stats.py --socket-pid-file /var/run/openvswitch/ovs-vswitchd.pid
diff --git a/src/collectd/collectd_sample_configs-latest/ovs_stats.conf b/src/collectd/collectd_sample_configs-latest/ovs_stats.conf
new file mode 100644
index 00000000..2a6d2fb2
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/ovs_stats.conf
@@ -0,0 +1,23 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License
+
+<LoadPlugin ovs_stats>
+ Interval 1
+</LoadPlugin>
+<Plugin "ovs_stats">
+# Port "6640"
+# Address "127.0.0.1"
+# Socket "/var/run/openvswitch/db.sock"
+# Bridges "br0"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/pcie_errors.conf b/src/collectd/collectd_sample_configs-latest/pcie_errors.conf
new file mode 100644
index 00000000..de9b7533
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/pcie_errors.conf
@@ -0,0 +1,21 @@
+# Copyright 2019 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+<LoadPlugin pcie_errors>
+ Interval 0.01
+</LoadPlugin>
+
+<Plugin pcie_errors>
+ PersistentNotifications false
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/prometheus.conf b/src/collectd/collectd_sample_configs-latest/prometheus.conf
new file mode 100644
index 00000000..13ef328e
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/prometheus.conf
@@ -0,0 +1,19 @@
+#Copyright 2019 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+LoadPlugin write_prometheus
+
+<Plugin "write_prometheus">
+ Port "9103"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/rdt.conf b/src/collectd/collectd_sample_configs-latest/rdt.conf
new file mode 100644
index 00000000..ae983dc0
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/rdt.conf
@@ -0,0 +1,20 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+<LoadPlugin intel_rdt>
+ Interval 1
+</LoadPlugin>
+
+<Plugin "intel_rdt">
+ Cores ""
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/snmp_agent.conf b/src/collectd/collectd_sample_configs-latest/snmp_agent.conf
new file mode 100644
index 00000000..7cbde816
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/snmp_agent.conf
@@ -0,0 +1,785 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+LoadPlugin snmp_agent
+<Plugin snmp_agent>
+# Intel PMU MIB
+ <Table "pmuTable">
+ IndexOID "INTEL-PMU-MIB::pmuGroupIndex"
+ <Data "pmuGroupDescr">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "intel_pmu"
+ OIDs "INTEL-PMU-MIB::pmuGroupDescr"
+ </Data>
+ <Data "pmuL1DCacheLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-loads"
+ OIDs "INTEL-PMU-MIB::pmuL1DCacheLoads"
+ </Data>
+ <Data "pmuL1DCacheLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuL1DCacheLoadMisses"
+ </Data>
+ <Data "pmuL1DCacheStores">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-stores"
+ OIDs "INTEL-PMU-MIB::pmuL1DCacheStores"
+ </Data>
+ <Data "pmuL1DCacheStoreMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-store-misses"
+ OIDs "INTEL-PMU-MIB::pmuL1DCacheStoreMisses"
+ </Data>
+ <Data "pmuL1DCachePrefetches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-prefetches"
+ OIDs "INTEL-PMU-MIB::pmuL1DCachePrefetches"
+ </Data>
+ <Data "pmuL1DCachePrefetchMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-dcache-prefetch-misses"
+ OIDs "INTEL-PMU-MIB::pmuL1DCachePrefetchMisses"
+ </Data>
+ <Data "pmuL1ICacheLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-icache-loads"
+ OIDs "INTEL-PMU-MIB::pmuL1ICacheLoads"
+ </Data>
+ <Data "pmuL1ICacheLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-icache-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuL1ICacheLoadMisses"
+ </Data>
+ <Data "pmuL1ICachePrefetches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-icache-prefetches"
+ OIDs "INTEL-PMU-MIB::pmuL1ICachePrefetches"
+ </Data>
+ <Data "pmuL1ICachePrefetchMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "L1-icache-prefetch-misses"
+ OIDs "INTEL-PMU-MIB::pmuL1ICachePrefetchMisses"
+ </Data>
+ <Data "pmuLLCLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-loads"
+ OIDs "INTEL-PMU-MIB::pmuLLCLoads"
+ </Data>
+ <Data "pmuLLCLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuLLCLoadMisses"
+ </Data>
+ <Data "pmuLLCStores">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-stores"
+ OIDs "INTEL-PMU-MIB::pmuLLCStores"
+ </Data>
+ <Data "pmuLLCStoreMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-store-misses"
+ OIDs "INTEL-PMU-MIB::pmuLLCStoreMisses"
+ </Data>
+ <Data "pmuLLCPrefetches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-prefetches"
+ OIDs "INTEL-PMU-MIB::pmuLLCPrefetches"
+ </Data>
+ <Data "pmuLLCPrefetchMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "LLC-prefetch-misses"
+ OIDs "INTEL-PMU-MIB::pmuLLCPrefetchMisses"
+ </Data>
+ <Data "pmuDTLBLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-loads"
+ OIDs "INTEL-PMU-MIB::pmuDTLBLoads"
+ </Data>
+ <Data "pmuDTLBLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuDTLBLoadMisses"
+ </Data>
+ <Data "pmuDTLBStores">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-stores"
+ OIDs "INTEL-PMU-MIB::pmuDTLBStores"
+ </Data>
+ <Data "pmuDTLBStoreMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-store-misses"
+ OIDs "INTEL-PMU-MIB::pmuDTLBStoreMisses"
+ </Data>
+ <Data "pmuDTLBPrefetches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-prefetches"
+ OIDs "INTEL-PMU-MIB::pmuDTLBPrefetches"
+ </Data>
+ <Data "pmuDTLBPrefetchMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "dTLB-prefetch-misses"
+ OIDs "INTEL-PMU-MIB::pmuDTLBPrefetchMisses"
+ </Data>
+ <Data "pmuITLBLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "iTLB-loads"
+ OIDs "INTEL-PMU-MIB::pmuITLBLoads"
+ </Data>
+ <Data "pmuITLBLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "iTLB-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuITLBLoadMisses"
+ </Data>
+ <Data "pmuBranchLoads">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "branch-loads"
+ OIDs "INTEL-PMU-MIB::pmuBranchLoads"
+ </Data>
+ <Data "pmuBranchLoadMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "branch-load-misses"
+ OIDs "INTEL-PMU-MIB::pmuBranchLoadMisses"
+ </Data>
+ <Data "pmuCpuCycles">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "cpu-cycles"
+ OIDs "INTEL-PMU-MIB::pmuCpuCycles"
+ </Data>
+ <Data "pmuInstructions">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "instructions"
+ OIDs "INTEL-PMU-MIB::pmuInstructions"
+ </Data>
+ <Data "pmuCacheReferences">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "cache-references"
+ OIDs "INTEL-PMU-MIB::pmuCacheReferences"
+ </Data>
+ <Data "pmuCacheMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "cache-misses"
+ OIDs "INTEL-PMU-MIB::pmuCacheMisses"
+ </Data>
+ <Data "pmuBranches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "branches"
+ OIDs "INTEL-PMU-MIB::pmuBranches"
+ </Data>
+ <Data "pmuBranchMisses">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "branch-misses"
+ OIDs "INTEL-PMU-MIB::pmuBranchMisses"
+ </Data>
+ <Data "pmuBusCycles">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "bus-cycles"
+ OIDs "INTEL-PMU-MIB::pmuBusCycles"
+ </Data>
+ <Data "pmuCpuClock">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "cpu-clock"
+ OIDs "INTEL-PMU-MIB::pmuCpuClock"
+ </Data>
+ <Data "pmuTaskClock">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "task-clock"
+ OIDs "INTEL-PMU-MIB::pmuTaskClock"
+ </Data>
+ <Data "pmuContextSwitches">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "context-switches"
+ OIDs "INTEL-PMU-MIB::pmuContextSwitches"
+ </Data>
+ <Data "pmuCpuMigrations">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "cpu-migrations"
+ OIDs "INTEL-PMU-MIB::pmuCpuMigrations"
+ </Data>
+ <Data "pmuPageFaults">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "page-faults"
+ OIDs "INTEL-PMU-MIB::pmuPageFaults"
+ </Data>
+ <Data "pmuMinorFaults">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "minor-faults"
+ OIDs "INTEL-PMU-MIB::pmuMinorFaults"
+ </Data>
+ <Data "pmuMajorFaults">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "major-faults"
+ OIDs "INTEL-PMU-MIB::pmuMajorFaults"
+ </Data>
+ <Data "pmuAlignmentFaults">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "alignment-faults"
+ OIDs "INTEL-PMU-MIB::pmuAlignmentFaults"
+ </Data>
+ <Data "pmuEmulationFaults">
+ Plugin "intel_pmu"
+ Type "counter"
+ TypeInstance "emulation-faults"
+ OIDs "INTEL-PMU-MIB::pmuEmulationFaults"
+ </Data>
+ </Table>
+# Intel RDT MIB
+ <Table "rdtTable">
+ IndexOID "INTEL-RDT-MIB::rdtGroupIndex"
+ SizeOID "INTEL-RDT-MIB::rdtGroupNumber"
+ <Data "rdtGroupDescr">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "intel_rdt"
+ OIDs "INTEL-RDT-MIB::rdtGroupDescr"
+ </Data>
+ <Data "rdtLlc">
+ Plugin "intel_rdt"
+ Type "bytes"
+ TypeInstance "llc"
+ OIDs "INTEL-RDT-MIB::rdtLlc"
+ </Data>
+ <Data "rdtIpc">
+ Plugin "intel_rdt"
+ Type "ipc"
+ OIDs "INTEL-RDT-MIB::rdtIpc"
+ </Data>
+ <Data "rdtMbmRemote">
+ Plugin "intel_rdt"
+ Type "memory_bandwidth"
+ TypeInstance "remote"
+ OIDs "INTEL-RDT-MIB::rdtMbmRemote"
+ </Data>
+ <Data "rdtMbmLocal">
+ Plugin "intel_rdt"
+ Type "memory_bandwidth"
+ TypeInstance "local"
+ OIDs "INTEL-RDT-MIB::rdtMbmLocal"
+ </Data>
+ </Table>
+# Intel Mcelog MIB
+ <Table "mcelogTable">
+ IndexOID "INTEL-MCELOG-MIB::memoryGroupIndex"
+ <Data "memoryGroupDescr">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "mcelog"
+ OIDs "INTEL-MCELOG-MIB::memoryGroupDescr"
+ </Data>
+ <Data "memoryCorrectedErrors">
+ Plugin "mcelog"
+ Type "errors"
+ TypeInstance "corrected_memory_errors"
+ OIDs "INTEL-MCELOG-MIB::memoryCorrectedErrors"
+ </Data>
+ <Data "memoryCorrectedTimedErrors">
+ Plugin "mcelog"
+ Type "errors"
+ TypeInstance "corrected_memory_errors_in_24h"
+ OIDs "INTEL-MCELOG-MIB::memoryCorrectedTimedErrors"
+ </Data>
+ <Data "memoryUncorrectedErrors">
+ Plugin "mcelog"
+ Type "errors"
+ TypeInstance "uncorrected_memory_errors"
+ OIDs "INTEL-MCELOG-MIB::memoryUncorrectedErrors"
+ </Data>
+ <Data "memoryUncorrectedTimedErrors">
+ Plugin "mcelog"
+ Type "errors"
+ TypeInstance "uncorrected_memory_errors_in_24h"
+ OIDs "INTEL-MCELOG-MIB::memoryUncorrectedTimedErrors"
+ </Data>
+ </Table>
+# Hugepages
+ <Table "hugepagesTable">
+ <Data "hugepagesNode">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "hugepages"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesNode"
+ </Data>
+ <Data "hugepagesMemoryFree">
+ Plugin "hugepages"
+ Type "memory"
+ TypeInstance "free"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesMemoryFree"
+ </Data>
+ <Data "hugepagesMemoryUsed">
+ Plugin "hugepages"
+ Type "memory"
+ TypeInstance "used"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesMemoryUsed"
+ </Data>
+ <Data "hugepagesPagesFree">
+ Plugin "hugepages"
+ Type "vmpage_number"
+ TypeInstance "free"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesPagesFree"
+ </Data>
+ <Data "hugepagesPagesUsed">
+ Plugin "hugepages"
+ Type "vmpage_number"
+ TypeInstance "used"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesPagesUsed"
+ </Data>
+ <Data "hugepagesPercentFree">
+ Plugin "hugepages"
+ Type "percent"
+ TypeInstance "free"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesPercentFree"
+ </Data>
+ <Data "hugepagesPercentUsed">
+ Plugin "hugepages"
+ Type "percent"
+ TypeInstance "used"
+ OIDs "INTEL-HUGEPAGES-MIB::hugepagesPercentUsed"
+ </Data>
+ </Table>
+ # Libvirt MIB
+ <Table "lvhDomainTable">
+ <Data "DomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDomainName"
+ </Data>
+ <Data "CPUTotalTime">
+ Plugin "virt"
+ Type "ps_cputime"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhCPUTotalTimeUser" "LIBVIRT-HYPERVISOR-MIB::lvhCPUTotalTimeSystem"
+ </Data>
+ <Data "VirtCPUTotal">
+ Plugin "virt"
+ Type "percent"
+ TypeInstance "virt_cpu_total"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhVirtCPUTotal"
+ </Data>
+ <Data "MemoryTotal">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "total"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryTotal"
+ </Data>
+ </Table>
+ <Table "MemoryTable">
+ <Data "MemoryDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryDomainName"
+ </Data>
+ <Data "MemorySwapIn">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "swap_in"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemorySwapIn"
+ </Data>
+ <Data "MemorySwapOut">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "swap_out"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemorySwapOut"
+ </Data>
+ <Data "MemoryMajorFault">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "major_fault"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryMajorFault"
+ </Data>
+ <Data "MemoryMinorFault">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "minor_fault"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryMinorFault"
+ </Data>
+ <Data "MemoryUnused">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "unused"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryUnused"
+ </Data>
+ <Data "MemoryAvailable">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "available"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryAvailable"
+ </Data>
+ <Data "MemoryActualBalloon">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "actual_balloon"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryActualBalloon"
+ </Data>
+ <Data "MemoryRss">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "rss"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryRss"
+ </Data>
+ <Data "MemoryLastUpdate">
+ Plugin "virt"
+ Type "memory"
+ TypeInstance "last_update"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhMemoryLastUpdate"
+ </Data>
+ </Table>
+ <Table "PerfTable">
+ <Data "PerfDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfDomainName"
+ </Data>
+ <Data "PerfAlignmentFaults">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_alignment_faults"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfAlignmentFaults"
+ </Data>
+ <Data "PerfBranchInstructions">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_branch_instructions"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfBranchInstructions"
+ </Data>
+ <Data "PerfBranchMisses">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_branch_misses"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfBranchMisses"
+ </Data>
+ <Data "PerfBusCycles">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_bus_cycles"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfBusCycles"
+ </Data>
+ <Data "PerfCacheMisses">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cache_misses"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCacheMisses"
+ </Data>
+ <Data "PerfCacheReferences">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cache_references"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCacheReferences"
+ </Data>
+ <Data "PerfCmt">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cmt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCmt"
+ </Data>
+ <Data "PerfContextSwitches">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_context_switches"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfContextSwitches"
+ </Data>
+ <Data "PerfCPUClock">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cpu_clock"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCPUClock"
+ </Data>
+ <Data "PerfCPUCycles">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cpu_cycles"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCPUCycles"
+ </Data>
+ <Data "PerfCPUMigrations">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_cpu_migrations"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfCPUMigrations"
+ </Data>
+ <Data "PerfEmulationFaults">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_emulation_faults"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfEmulationFaults"
+ </Data>
+ <Data "PerfInstructions">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_instructions"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfInstructions"
+ </Data>
+ <Data "PerfMbml">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_mbml"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfMbml"
+ </Data>
+ <Data "PerfMbmt">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_mbmt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfMbmt"
+ </Data>
+ <Data "PerfPageFaults">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_page_faults"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfPageFaults"
+ </Data>
+ <Data "PerfPageFaultsMaj">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_page_faults_maj"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfPageFaultsMaj"
+ </Data>
+ <Data "PerfPageFaultsMin">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_page_faults_min"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfPageFaultsMin"
+ </Data>
+ <Data "PerfRefCPUCycles">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_ref_cpu_cycles"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfRefCPUCycles"
+ </Data>
+ <Data "PerfTaskClock">
+ Plugin "virt"
+ Type "perf"
+ TypeInstance "perf_task_clock"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhPerfTaskClock"
+ </Data>
+ </Table>
+ <Table "lvhCPUAffinityTable">
+ <Data "DomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhAffinityDomainName"
+ </Data>
+ <Data "VCPU">
+ Plugin "virt"
+ <IndexKey>
+ Source "TypeInstance"
+ Regex "^vcpu_([0-9]{1,3})-cpu_[0-9]{1,3}$"
+ Group 1
+ </IndexKey>
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhVCPUIndex"
+ </Data>
+ <Data "CPU">
+ Plugin "virt"
+ <IndexKey>
+ Source "TypeInstance"
+ Regex "^vcpu_[0-9]{1,3}-cpu_([0-9]{1,3})$"
+ Group 1
+ </IndexKey>
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhCPUIndex"
+ </Data>
+ <Data "CPUAffinity">
+ Plugin "virt"
+ Type "cpu_affinity"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhCPUAffinity"
+ </Data>
+ </Table>
+ <Table "VirtVCPUTable">
+ <Data "VCPUDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhVCPUDomainName"
+ </Data>
+ <Data "VCPUVCPUIndex">
+ <IndexKey>
+ Source "TypeInstance"
+ Regex "^([0-9]{1,3})$"
+ Group 1
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhVCPUVCPUIndex"
+ </Data>
+ <Data "VirtVCPU">
+ Plugin "virt"
+ Type "virt_vcpu"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhVirtVCPU"
+ </Data>
+ </Table>
+ <Table "DiskErrorTable">
+ <Data "DiskDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskDomainName"
+ </Data>
+ <Data "DiskName">
+ <IndexKey>
+ Source "TypeInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskName"
+ </Data>
+ <Data "DiskError">
+ Plugin "virt"
+ Type "disk_error"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskError"
+ </Data>
+ </Table>
+ <Table "BlockDeviceTable">
+ <Data "BlockDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhBlockDomainName"
+ </Data>
+ <Data "BlockDeviceName">
+ <IndexKey>
+ Source "TypeInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhBlockDeviceName"
+ </Data>
+ <Data "DiskOps">
+ Plugin "virt"
+ Type "disk_ops"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskOpsRead" "LIBVIRT-HYPERVISOR-MIB::lvhDiskOpsWrite"
+ </Data>
+ <Data "DiskOctets">
+ Plugin "virt"
+ Type "disk_octets"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskOctetsRead" "LIBVIRT-HYPERVISOR-MIB::lvhDiskOctetsWrite"
+ </Data>
+ <Data "DiskTimeCache">
+ Plugin "virt"
+ Type "disk_time"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhDiskTimeCacheRead" "LIBVIRT-HYPERVISOR-MIB::lvhDiskTimeCacheWrite"
+ </Data>
+ </Table>
+ <Table "BlockDevFlushTable">
+ <Data "BlockDevFlushDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhBlockDevFlushDomainName"
+ </Data>
+ <Data "BlockDevFlushDeviceName">
+ <IndexKey>
+ Source "TypeInstance"
+ Regex "^flush-(.*)$"
+ Group 1
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhBlockDevFlushDeviceName"
+ </Data>
+ <Data "TotalRequestsFlush">
+ Plugin "virt"
+ Type "total_requests"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhTotalRequestsFlush"
+ </Data>
+ <Data "TotalTimeInMsFlush">
+ Plugin "virt"
+ Type "total_time_in_ms"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhTotalTimeInMsFlush"
+ </Data>
+ </Table>
+ <Table "NetworkIfaceTable">
+ <Data "NetIfDomainName">
+ <IndexKey>
+ Source "PluginInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhNetIfDomainName"
+ </Data>
+ <Data "InterfaceName">
+ <IndexKey>
+ Source "TypeInstance"
+ </IndexKey>
+ Plugin "virt"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhInterfaceName"
+ </Data>
+ <Data "IfBytes">
+ Plugin "virt"
+ Type "if_octets"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhIfBytesReceived" "LIBVIRT-HYPERVISOR-MIB::lvhIfBytesTransmitted"
+ </Data>
+ <Data "IfPackets">
+ Plugin "virt"
+ Type "if_packets"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhIfPacketsReceived" "LIBVIRT-HYPERVISOR-MIB::lvhIfPacketsTransmitted"
+ </Data>
+ <Data "lvhIfErrors">
+ Plugin "virt"
+ Type "if_errors"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhIfErrorsReceive" "LIBVIRT-HYPERVISOR-MIB::lvhIfErrorsTransmission"
+ </Data>
+ <Data "IfDroppedReceive">
+ Plugin "virt"
+ Type "if_dropped"
+ OIDs "LIBVIRT-HYPERVISOR-MIB::lvhIfDroppedReceive" "LIBVIRT-HYPERVISOR-MIB::lvhIfDroppedTransmit"
+ </Data>
+ </Table>
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/virt.conf b/src/collectd/collectd_sample_configs-latest/virt.conf
new file mode 100644
index 00000000..88229e3c
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/virt.conf
@@ -0,0 +1,30 @@
+# Copyright 2017 OPNFV
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+
+LoadPlugin virt
+<Plugin virt>
+# Connection "xen:///"
+ RefreshInterval 60
+# Domain "name"
+# BlockDevice "name:device"
+# BlockDeviceFormat target
+# BlockDeviceFormatBasename false
+# InterfaceDevice "name:device"
+# IgnoreSelected false
+# HostnameFormat name
+# InterfaceFormat name
+# PluginInstanceFormat name
+# Instances 1
+ ExtraStats "cpu_util disk disk_err domain_state fs_info job_stats_background pcpu perf vcpupin"
+</Plugin>
diff --git a/src/collectd/collectd_sample_configs-latest/write_notification.sh b/src/collectd/collectd_sample_configs-latest/write_notification.sh
new file mode 100755
index 00000000..47ae9a83
--- /dev/null
+++ b/src/collectd/collectd_sample_configs-latest/write_notification.sh
@@ -0,0 +1,20 @@
+#!/bin/bash
+# Copyright 2017-2019 Intel Corporation and OPNFV. All rights reserved.
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+rm -f /tmp/notifications
+while read x y
+do
+ echo $x$y >> /tmp/notifications
+done